CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - serial to parallel converter

搜索资源列表

  1. chuanxingzhuanhaunbingxing

    0下载:
  2. 通过多通道串-并转换器将多个同步串行数据流转换为并行数据-through multi-channel serial-to-parallel converter multiple synchronous serial data streams converted to parallel data
  3. 所属分类:串口编程

    • 发布日期:2008-10-13
    • 文件大小:52494
    • 提供者:胡飞逸
  1. Soft_demapping_QPSK.zip

    1下载:
  2. soft Demapping QPSK : LLR computation using Euclidian distance approach, Parallel-to-Serial converter : needs I and Q components of QPSK symbols at the input,soft Demapping QPSK : LLR computation using Euclidian distance approach, Parallel-to-Serial
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-06
    • 文件大小:654
    • 提供者:Irene MM
  1. 23-10111

    0下载:
  2. a simple serial to parallel converter using XILLINX and VHDL (the number of the project represents the binary code used by the converter e.g 23- 10111)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-28
    • 文件大小:345687
    • 提供者:theo
  1. par2ser

    0下载:
  2. 并/串转换器即并行输入、串行输出转换器,例如一个8bit输入的并/串转换器,输出时钟频率是输入时钟频率的8倍,输入端一个时钟到来,8个输入端口同时输入数据;输出端以8倍的速度将并行输入的8bit串行输出,至于从高位输出还是从低位输出,可以再程序中指定。-And/or parallel series converter input, serial output converter, for example, a 8bit input and/series converter, the output
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:1040
    • 提供者:赵军
  1. s2p

    0下载:
  2. serial to parallel converter
  3. 所属分类:matlab

    • 发布日期:2017-04-15
    • 文件大小:6031
    • 提供者:mon
  1. ch6example3

    0下载:
  2. A/D和D/A转换器模型 对串行和并行输出的8位A/D和D/A转换器进行仿真,转换值范围为0到255,转换采样率为1次/秒。 -A/D and D/A converter model, serial and parallel output of the 8-bit A/D and D/A converter to simulate the conversion values range from 0 to 255, change the sampling rate of 1 times/sec.
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:3679
    • 提供者:ln
  1. SERDES

    2下载:
  2. 基于Verilog的串并转换器的设计与实现,采用两种不同的方案来实现串并和并串转换的功能,并用ISE软件仿真以及chipscope的调试-Verilog-based serial and parallel converter design and implementation of two different programs to achieve the string and and and string conversion functions, and use the ISE softwa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-07-28
    • 文件大小:785408
    • 提供者:陈凯
  1. stp

    0下载:
  2. serial to parallel converter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:671
    • 提供者:dodia
  1. Serpar

    0下载:
  2. A serial to parallel converter is somewhat the reverse of the operation of parallel to serial converter. The data comes serially from the input port SERIN. The parallel data is output from DOUT port. Output port DRDY is asserted ‘1’ when the start bi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:681
    • 提供者:riadh
  1. 8051_7

    0下载:
  2. 1、模拟量输入选择接口2、用模拟比较器实现AD转换3、5SPI串行接口AD转换器TLC2543的应用4、6I2C接口AD转换器ADS11XX的应用5 等精度数字频率计的实现6、10时钟日历芯片PCF8563的应用7、48位并行接口ADC080X的接口与驱动8、91-wire测温芯片DS18XX的应用9、716位AD转换器AD7715的应用-1, analog input selection interface 2, using a comparator to achieve 3,5 SPI
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:70885
    • 提供者:hdm
  1. DDS-program

    0下载:
  2. DDS芯片中主要包括频率控制寄存器、高速相位累加器和正弦计算器三个部分(如Q2220)。频率控制寄存器可以串行或并行的方式装载并寄存用户输入的频率控制码;而相位累加器根据 dds 频率控制码在每个时钟周期内进行相位累加,得到一个相位值;正弦计算器则对该相位值计算数字化正弦波幅度(芯片一般通过查表得到)。DDS芯片输出的一般是数字化的正弦波,因此还需经过高速D/A转换器和低通滤波器才能得到一个可用的模拟频率信号。-The chips mainly includes DDS frequen
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:24819
    • 提供者:林春权
  1. VLSI

    0下载:
  2. The AD7654 is a low cost, simultaneous sampling, dual-channel, 16-bit, charge redistribution SAR, analog-to-digital converter that operates from a single 5 V power supply. It contains two low noise, wide bandwidth, track-and-hold amplifiers that allo
  3. 所属分类:Project Design

    • 发布日期:2017-11-18
    • 文件大小:3965270
    • 提供者:vxl
  1. Serialtoparaller

    0下载:
  2. 序列平行轉換器,對輸入的資料流進行轉換功用-Serial parallel converter to convert the input data stream function
  3. 所属分类:software engineering

    • 发布日期:2017-11-17
    • 文件大小:514
    • 提供者:李大仁
  1. seria-to-parallel

    0下载:
  2. 主要用来实现数据串并转换功能,内附2种实现程序-serial to parallel converter verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:918
    • 提供者:徐以为
  1. serialtoparellel

    0下载:
  2. Write a HDL Code to use as a serial to parallel converter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:701
    • 提供者:Aftab Rai
  1. ZigBeeIEEE

    0下载:
  2. The implementation was built on Matlab/Simulink using fundamental components in Simulink to demonstrate how reliably complex modulation schemes can be built, cost effectively and efficiently [5]. The design of ZigBee transmitter using MSK mod
  3. 所属分类:matlab

    • 发布日期:2017-04-25
    • 文件大小:11537
    • 提供者:sabina
  1. UART

    0下载:
  2. 简单的uart串并转换实现,完成开发板和电脑之间的8位数据通信。-Simple uart serial to parallel converter achieve complete eight data communication between the development board and the computer.
  3. 所属分类:Other systems

    • 发布日期:2017-05-03
    • 文件大小:781926
    • 提供者:邓麟
  1. serial-2

    0下载:
  2. Under partially shaded conditions, series connection of photovoltaic (PV)modules results in the flow of lowest current in the string corresponding to the most shaded module, reducing the overall power output. Power output can be maximized by in
  3. 所属分类:matlab

    • 发布日期:2017-05-07
    • 文件大小:1305600
    • 提供者:roshan
  1. srl2pal

    0下载:
  2. 数据流串并转换的实现方法多种多样,根据数据的排序和数量的要求,可以选用移位寄存器、RAM等来实现。对于数据量比较小的设计来说,可以使用移位寄存器完成串并转换;对于排列顺序有规定的串并转换,可以用case语句判断实现;对于复杂的串并转换,还可以用状态机实现-Serial data stream and converts a variety of implementations, according to the sort and quantity of data requirements, you
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:18781
    • 提供者:一哥
  1. Parallel-To-Serial-Converter

    0下载:
  2. Verilog Module for 8-Bit Loadable Serial/Parallel-In Parallel-Out Shift Registers with Clock Enable and Asynchronous Clear
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:148251
    • 提供者:Raz
« 12 »
搜珍网 www.dssz.com